Shanghai Huijue Network Communication Equipment Co., Ltd
sales@hj-net.com
021-57471000
13916913483
Competing in China’s lithography machine market
column:industry news Release time:2022-10-29

Recently, according to the official website of the State Intellectual Property Office, Huawei Technologies Co., Ltd. announced a patent related to lithography technology in November this year, which caused the semiconductor industry to boil. This patent is mainly used for the technical transformation and upgrading of lithography machines, so that the yield rate of lithography machines will be higher, thereby improving production efficiency. However, it is worth noting that this patent has been submitted as early as 2016, and may actually have limited impact on the lithography machine industry. Nevertheless, the announcement of Huawei’s lithography machine patent shows that there is still a glimmer of hope for domestic lithography machines.

In fact, since entering 2022, domestic lithography machines have frequently received good news.

In March of this year, Beijing Guowang Optical Lithography Exposure System R & D and mass production base project made new progress, and three domestic related companies have won the bid. That is to say, with the publicity of the bid-winning company, the projection lithography machine in the front-end process of domestic chip manufacturing has officially entered the stage of mass production for exposing optical system products.

In May, the Shanghai Institute of Optics and Fine Mechanics of the Chinese Academy of Sciences announced that it has completed a number of technological breakthroughs: completed the development of edged glass for N41 neodymium glass, the core optical component of the national major special project, and realized the large-aperture off-axis reflection exposure technology of meter-level gratings Breakthrough progress and new progress in rapid growth technology of large-size DKDP long seed crystals.

In October, Shanghai Microelectronics announced that it has achieved large-scale mass production of 14nm advanced technology, and has conquered 90nm lithography machines, 5nm etching machines, 12-inch large silicon wafers, domestic CPUs, 5G chips, etc. Although the process of this lithography machine is only 90 nanometers, it can reach a maximum level of 22 nanometers after three exposures, and it is mainly used for power management chips, LCD driver chips, WiFi chips, radio frequency chips, various digital-analog hybrid circuits, etc. Has covered 70% of mainstream chips worldwide.

Against the background of strong demand, the entire lithography machine industry is accelerating its pace and has achieved initial results.

01

Domestic attack “0-1”

In the industry chain of lithography machines, domestic companies have successfully developed double workbenches, optical systems, objective lens systems, and light source systems.

In terms of dual workpiece tables, Huazhuo Jingke broke ASML’s technical monopoly on the lithography machine workpiece table and became the second company in the world to master the core technology of dual workpiece tables.

In terms of light sources, the first high-energy excimer laser independently developed, designed and produced by China Keyi Hongyuan Company has filled the gap in China’s excimer laser technology field with the advantages of high quality and low cost. It has completed the 6kHZ, 60w mainstream Manufacture of ArF lithography light source. At the same time, Keyi Hongyuan is also the light source manufacturer for the 28nm lithography machine to be delivered by Shanghai Microelectronics.

In terms of optical lenses, although there is still a very large gap with companies such as Carl Zeiss and Nikon, the lenses provided by AOP Optics can already achieve 90 nanometers.

In terms of complete lithography machine production (midstream), Shanghai Microelectronics is the most technologically advanced lithography equipment manufacturer in China. Its 90nm lithography machine has achieved a breakthrough, and the 28nm DUV lithography machine that is being tackled is still to be delivered. At the same time, Shanghai Microelectronics is still developing and debugging the 14nm lithography machine.

 

The localization of some key core areas of lithography machine manufacturing is self-evident to the importance of mastering core technologies. At present, many scientific research institutes have entered the lithography machine assembly period. The manufacturing power of China’s lithography machines is constantly strengthening, and at the same time, some external power is constantly being injected.

02

external support

Canon intends to provide lithography machines to China

In October this year, Canon, a supplier of lithography machines, announced that it will build a new semiconductor equipment factory in Tochigi Prefecture, eastern Japan, with the goal of doubling the current production capacity, with a total investment of more than 50 billion yen (about 345 million U.S. dollars). Construction will begin in 2023 and operations will begin in spring 2025.

It is reported that in the global lithography machine market in 2021, ASML in the Netherlands will monopolize 79.4% of the market share, while Nikon and Canon will occupy 10.4% and 10.2% of the market share respectively. The Chinese market at the moment is a good time for Canon to regain the market.

Canon currently has two semiconductor equipment factories in Japan, and the new lithography machine equipment factory is the first expansion of Canon in the past 21 years. In addition, Canon will also consider the production of nanoimprinting technology (NIL), which can manufacture cutting-edge fine circuits at low cost.

Nanoimprint technology completes the transfer of graphics through contact imprinting, which is equivalent to the exposure and development process in optical exposure technology, and then uses the etching transfer process to transfer the structure to other materials, which can reduce power consumption to EUV technology 10% of EUV equipment, and reduce equipment investment to 40% of EUV equipment. The important thing is that this technology, jointly developed by Canon, Dainippon Printing and Kioxia, does not need to rely on the industrial chains of the United States and Europe.

Nanoimprint technology lithography equipment currently supports 15nm process, and is striving to further promote process miniaturization. Initially, it will be introduced into the production of DRAM, PC CPU, etc. In the future, it is also expected to be applied to logic ICs that require advanced advanced processes such as mobile phone APs Production. However, based on the most optimistic progress estimate, Canon is expected to hand over fab mass production equipment that can compete with ASML’s EUV until 2025.

Nikon expands layout

In August of this year, Nikon Japan announced that it will increase investment and layout in the Chinese lithography machine market in the future, increase cooperation with Chinese chip companies, and plan to increase the shipment scale of lithography machines by 3 times by 2026. above.

Most of Nikon’s previous customers were American companies such as Intel, with a revenue ratio as high as 80%. The emergence of Micron’s 1β technology and the lithography technology in the United States has also brought more uncertainty to Nikon’s revenue. Now we are planning to reduce this dependence to less than 50%. At this time, the Chinese market becomes the key. In the eyes of Nikon, perhaps the Chinese market is more promising.

Compared with ASML, Nikon is still at a big disadvantage, and its core capabilities are concentrated in the lowest-end UV (i-line) lithography machine field and the sub-high-end DUV field. However, according to Nikon, the NSR-S635E ArF immersion lithography machine it will lay out is different from ASML’s EUV lithography machine. It can process chips of 5 to 7 nanometers using a DUV light source, and can manufacture 275 wafers per hour. And does not use American technology.

ASML competes for the market

In 2021, ASML sold a total of 57 lithography machines to China, and China has also become ASML’s largest customer. China contributed more than US$29 billion in revenue to ASML, exceeding the approximately US$25 billion of South Korea and Taiwan. In the future, China’s related demand will only increase, and ASML also hopes to see market customers increase their efforts in semiconductor development, so that there will be more demand for purchasing ASML’s lithography machines.

Not long ago, ASML announced the expansion of EUV and DUV and even the next-generation EUV equipment plan, and its annual production capacity will be increased to 90 EUV and 600 DUV lithography machines. Recently, the F16-01 plot project of Lingang Heavy Equipment Industrial Zone, undertaken by Shanghai Construction Engineering Group Co., Ltd., held a ceremony for the delivery of ASML lithography machines in the Dingtai Jiangxin clean room.

The industry has always had mixed opinions on ASML’s exports to China. Perhaps this is its sincerity, or it may be its dumping actions, but what is certain is that ASML is trying to increase shipments during this window period to compete for the market, and China is a piece of fertile land that it is hard to give up.

At present, there are only three companies in the world capable of manufacturing advanced lithography machines, ASML, Nikon and Canon in Japan. At the same time, in terms of foreign exports, these three companies are also in an absolute monopoly position. Today, it is difficult for the three companies to give up the huge market for Chinese lithography machines.

03

epilogue

The lithography machine manufacturing giant ASML said: “China is unlikely to independently create a top-level lithography machine, but never say never.”

On the road of lithography machine research and development, China has achieved a breakthrough from “0” to “1”. The problem to be solved at present is to add a few “0” after “1”.

There is still a big gap between China and foreign mature technologies. Whether it is from theory to laboratory to commercial use, or from production to upstream and downstream adaptation to commercial adaptation, it takes time, energy and money to solve problems. However, the key components of the lithography machine are still working hard to get closer to autonomy step by step. Before it is fully achieved, it is necessary to give more patience and support to domestic technology.

TOP